Scientific Library of Tomsk State University

   E-catalog        

Refine your search


База знаний по целевым капиталам

  •    Эндаумент
       Фандрайзинг
       Нормативные документы

  • Your search returned 25 results.

    1.
    Finding false paths for sequential circuits using operations on ROBDDs A. Y. Matrosova, S. A. Ostanin, S. V. Chernyshov

    by Matrosova, Anzhela Yu | Ostanin, Sergey A | Chernyshov, Semen V.

    Source: 2018 IEEE 24th International Symposium on On-Line Testing and Robust System Design (IOLTS 2018), 2-4 July 2018, SpainMaterial type: Article Article; Format: electronic available online remote; Literary form: Not fiction ; Audience: Specialized; Online access: Click here to access online Availability: No items available :
    2.
    Упрощение системы Free BDD графов E. B. Румянцева

    by Румянцева, Елена Викторовна | Томский государственный университет Факультет прикладной математики и кибернетики Публикации студентов и аспирантов ФПМК.

    Source: Материалы Первой Всероссийской молодежной научной конференции "Математическое и программное обеспечение информационных, технических и экономических систем", Томск, 17-18 мая 2013 г.Material type: Article Article; Format: electronic available online remote; Literary form: Not fiction ; Audience: Specialized; Online access: Click here to access online Availability: No items available :
    3.
    Синтез самопроверяемых последовательностных схем для неисправностей задержек путей С. А. Останин, И. Е. Кириенко, В. А. Лавров

    by Останин, Сергей Александрович | Кириенко, Ирина Евгеньевна | Лавров, Валерий Александрович.

    Source: Новые информационные технологии в исследовании сложных структур : материалы одиннадцатой международной конференции, 6-10 июня 2016 г.Material type: Article Article; Format: electronic available online remote; Literary form: Not fiction ; Audience: Specialized; Online access: Click here to access online Availability: No items available :
    4.
    Оценка наблюдаемости внутренней переменной при использовании LOS-техники сканирования А. В. Мельников

    by Мельников, А. В.

    Source: Новые информационные технологии в исследовании сложных структур : материалы Девятой Российской конференции с международным участиемMaterial type: Article Article; Format: print ; Literary form: Not fiction ; Audience: Specialized; Availability: No items available :
    5.
    Синтез логических схем, контролепригодных относительно неисправностей задержек путей А. Ю. Матросова, Е. А. Николаева, Е. В. Румянцева

    by Матросова, Анжела Юрьевна | Николаева, Екатерина Александровна | Румянцева, Елена Викторовна | Томский государственный университет Факультет прикладной математики и кибернетики Кафедра программирования | Томский государственный университет Факультет прикладной математики и кибернетики Публикации студентов и аспирантов ФПМК.

    Source: Известия высших учебных заведений. ФизикаMaterial type: Article Article; Format: electronic available online remote Online access: Click here to access online Availability: No items available :
    6.
    Получение тестовых пар для робастно тестируемых неисправностей задержек путей с использованием SAT-решателей В. З. Тычинский, В. В. Андреева

    by Тычинский, Вячеслав Зиновьевич | Андреева, Валентина Валерьевна.

    Source: Материалы международной научной конференции "Математическое и программное обеспечение информационных, технических и экономических систем", Томск, 28-30 мая 2020 г.Material type: Article Article; Format: electronic available online remote; Literary form: Not fiction ; Audience: Specialized; Online access: Click here to access online Availability: No items available :
    7.
    Синтез отказоустойчивых последовательностных схем для неисправностей задержек путей С. А. Останин, А. Ю. Матросова, И. Е. Кириенко, Е. А. Николаева

    by Останин, Сергей Александрович | Кириенко, Ирина Евгеньевна | Николаева, Екатерина Александровна | Матросова, Анжела Юрьевна.

    Source: Новые информационные технологии в исследовании сложных структур : материалы одиннадцатой международной конференции, 6-10 июня 2016 г.Material type: Article Article; Format: electronic available online remote; Literary form: Not fiction ; Audience: Specialized; Online access: Click here to access online Availability: No items available :
    8.
    Синтез легко тестируемых последовательностных схем А. Ю. Матросова, Е. В. Митрофанов

    by Матросова, Анжела Юрьевна | Митрофанов, Евгений Владимирович | Томский государственный университет Факультет прикладной математики и кибернетики Кафедра программирования | Томский государственный университет Факультет прикладной математики и кибернетики Публикации студентов и аспирантов ФПМК.

    Source: Вестник Томского государственного университета. Управление, вычислительная техника и информатикаMaterial type: Article Article; Format: electronic available online remote Online access: Click here to access online Availability: No items available :
    9.
    Построение последовательности, доставляющей тестовую пару для робастно тестируемой неисправности задержки пути А. Ю. Матросова, С. В. Чернышов

    by Матросова, Анжела Юрьевна | Чернышов, Семен Владимирович.

    Source: Новые информационные технологии в исследовании сложных структур : материалы Тринадцатой Международной конференции, 7–9 сентября 2020 г.Material type: Article Article; Format: electronic available online remote; Literary form: Not fiction ; Audience: Specialized; Online access: Click here to access online Availability: No items available :
    10.
    Получение тестовых пар для робастно тестируемых неисправностей задержек путей с использованием КНФ логической схемы В. З. Тычинский, В. В. Андреева

    by Тычинский, Вячеслав Зиновьевич | Андреева, Валентина Валерьевна.

    Source: Новые информационные технологии в исследовании сложных структур : материалы Тринадцатой Международной конференции, 7–9 сентября 2020 г.Material type: Article Article; Format: electronic available online remote; Literary form: Not fiction ; Audience: Specialized; Online access: Click here to access online Availability: No items available :
    11.
    Simplification of fully delay testable combinational circuits and finding of pdf test pairs A. Yu. Matrosova, E. V. Mitrofanov, T. Shah

    by Matrosova, Anzhela Yu | Mitrofanov, Evgenii V | Shah, Toral.

    Source: Вестник Томского государственного университета. Управление, вычислительная техника и информатикаMaterial type: Article Article; Format: electronic available online remote Other title: Упрощение контролепригодных комбинационных схем и поиск тестовых пар для неисправностей задержек путей.Online access: Click here to access online Availability: No items available :
    12.
    Обнаружение несущественных путей логических схем на основе совместного анализа и-или деревьев и SSBDD-графов А. Ю. Матросова, С. А. Останин, В. Сингх

    by Матросова, Анжела Юрьевна | Останин, Сергей Александрович | Сингх, В | Томский государственный университет Факультет прикладной математики и кибернетики Кафедра программирования.

    Source: Автоматика и телемеханикаMaterial type: Article Article; Format: electronic available online remote Online access: Click here to access online Availability: No items available :
    13.
    Синтез отказоустойчивых автоматных сетей для неисправностей задержек путей С. А. Останин, В. А. Лавров, Д. А. Третьяков

    by Останин, Сергей Александрович | Лавров, Валерий Александрович | Третьяков, Дмитрий Александрович.

    Source: Новые информационные технологии в исследовании сложных структур : материалы двенадцатой конференции с международным участием, 4-8 июня 2018 г.Material type: Article Article; Format: electronic available online remote; Literary form: Not fiction ; Audience: Specialized; Online access: Click here to access online Availability: No items available :
    14.
    О свойствах ROBDD-графов, представляющих тестовые пары для робастно тестируемых неисправностей задержек путей В. З. Тычинский, В. В. Андреева

    by Тычинский, Вячеслав Зиновьевич | Андреева, Валентина Валерьевна.

    Source: Материалы VII Международной молодежной научной конференции "Математическое и программное обеспечение информационных, технических и экономических систем", Томск, 23-25 мая 2019 г.Material type: Article Article; Format: electronic available online remote; Literary form: Not fiction ; Audience: Specialized; Online access: Click here to access online Availability: No items available :
    15.
    Обнаружение ложных путей в схемах с памятью Е. П. Ирдынеева

    by Ирдынеева, Екатерина Павловна | Томский государственный университет Факультет прикладной математики и кибернетики Публикации студентов и аспирантов ФПМК.

    Source: Материалы II Всероссийской молодежной научной конференции "Математическое и программное обеспечение информационных, технических и экономических систем", Томск, 16–17 мая 2014 г.Material type: Article Article; Format: electronic available online remote; Literary form: Not fiction ; Audience: Specialized; Online access: Click here to access online Availability: No items available :
    16.
    Синтез дискретных схем, контролепригодных относительно неисправностей задержек путей А. Ю. Матросова, Е. А. Николаева, V. Singh

    by Матросова, Анжела Юрьевна | Николаева, Екатерина Александровна | Singh, Virendra.

    Source: Новые информационные технологии в исследовании сложных структур : материалы Девятой Российской конференции с международным участиемMaterial type: Article Article; Format: print ; Literary form: Not fiction ; Audience: Specialized; Availability: No items available :
    17.
    Combinational circuits without false paths A. Matrosova, D. Kudin, E. Nikolaeva

    by Matrosova, Anzhela Yu | Kudin, D. V | Nikolaeva, Ekaterina A.

    Source: Proceedings of IEEE East-West Design & Test Symposium (EWDTS'2014), Kiev, Ukraine, September 26-29, 2014Material type: Article Article; Format: electronic available online remote; Literary form: Not fiction ; Audience: Specialized; Online access: Click here to access online Availability: No items available :
    18.
    A fault-tolerant sequential circuit design for stuck-at faults and path delay faults A. Y. Matrosova, S. A. Ostanin, I. E. Kirienko [et.al.]

    by Matrosova, Anzhela Yu | Kirienko, Irina E | Nikolaeva, Ekaterina A | Ostanin, Sergey A.

    Source: Вестник Томского государственного университета. Управление, вычислительная техника и информатикаMaterial type: Article Article; Format: electronic available online remote Other title: Проектирование отказоустойчивых последовательностных схем для константных неисправностей и неисправностей задержек путей.Online access: Click here to access online Availability: No items available :
    19.
    Обнаружение ложных путей в комбинационной схеме А. Ю. Матросова, Д. В. Кудин, Е. А. Николаева

    by Матросова, Анжела Юрьевна | Кудин, Дмитрий Владимирович | Николаева, Екатерина Александровна | Томский государственный университет Факультет прикладной математики и кибернетики Кафедра программирования.

    Source: Вестник Томского государственного университета. Управление, вычислительная техника и информатикаMaterial type: Article Article; Format: electronic available online remote Online access: Click here to access online Availability: No items available :
    20.
    Fault-tolerant synchronous FSM network design for path delay faults S. A. Ostanin, V. V. Andreeva, N. B. Butorina, D. Tretyakov

    by Andreeva, V. V | Butorina, Natalia B | Tretyakov, D | Ostanin, Sergey A.

    Source: Proceedings of IEEE East-West Design & Test Symposium (EWDTS'2018), Kazan, Russia, September 14-17, 2018Material type: Article Article; Format: electronic available online remote; Literary form: Not fiction ; Audience: Specialized; Online access: Click here to access online Availability: No items available :
    21.
    Simplification of fully delay testable combinational circuits A. Matrosova, E. Mitrofanov, T. Shah

    by Matrosova, Anzhela Yu | Mitrofanov, Evgenii V | Shah, Toral.

    Source: Proceedings of the 21st IEEE International On-Line Testing Symposium Symposium (IOLTS), 6-8 July 2015, Athena Pallas Village, Elia, Halkidiki, GreeceMaterial type: Article Article; Format: electronic available online remote; Literary form: Not fiction ; Audience: Specialized; Online access: Click here to access online Availability: No items available :
    22.
    Fully delay and multiple stuck-at fault testable sequential circuit design A. Y. Matrosova, S. A. Ostanin, E. A. Nikolaeva, I. E. Kirienko

    by Matrosova, Anzhela Yu | Nikolaeva, Ekaterina A | Kirienko, Irina E | Ostanin, Sergey A | Томский государственный университет Факультет прикладной математики и кибернетики Кафедра программирования.

    Source: Вестник Томского государственного университета. Управление, вычислительная техника и информатикаMaterial type: Article Article; Format: electronic available online remote Online access: Click here to access online Availability: No items available :
    23.
    Использование ROBDD-графов для тестирования задержек логических схем А. Ю. Матросова, В. В. Андреева, В. З. Тычинский, Г. Г. Гошин

    by Андреева, Валентина Валерьевна | Тычинский, Вячеслав Зиновьевич | Гошин, Геннадий Георгиевич | Матросова, Анжела Юрьевна.

    Source: Известия высших учебных заведений. ФизикаMaterial type: Article Article; Format: electronic available online remote Online access: Click here to access online Availability: No items available :
    24.
    Сведение задачи поиска тестовых пар для робастно тестируемых неисправностей задержек пути к задаче выполнимости булевых формул А. Ю. Матросова, В. З. Тычинский, В. В. Андреева

    by Матросова, Анжела Юрьевна | Тычинский, Вячеслав Зиновьевич | Андреева, Валентина Валерьевна.

    Source: Новые информационные технологии в исследовании сложных структур : материалы Четырнадцатой международной конференции, 19-24 сентября 2022 г.Material type: Article Article; Format: electronic available online remote; Literary form: Not fiction ; Audience: Specialized; Other title: Obtaining test pairs for robust testable path delay faults by solving of Boolean satisfiability problem.Online access: Click here to access online Availability: No items available :
    25.
    Булева разность и обнаружение неисправностей задержек пути А. Ю. Матросова, В. З. Тычинский, В. В. Андреева

    by Матросова, Анжела Юрьевна | Тычинский, Вячеслав Зиновьевич | Андреева, Валентина Валерьевна.

    Source: Вестник Томского государственного университета. Управление, вычислительная техника и информатикаMaterial type: Article Article; Format: electronic available online remote Other title: Boolean difference and path delay faults detection.Online access: Click here to access online Availability: No items available :