Scientific Library of Tomsk State University

   E-catalog        

Normal view MARC view

Pipelined Multiprocessor System-on-Chip for Multimedia electronic resource by Haris Javaid, Sri Parameswaran.

By: Javaid, Haris [author.]Contributor(s): Parameswaran, Sri [author.] | SpringerLink (Online service)Material type: TextTextPublication details: Cham : Springer International Publishing : Imprint: Springer, 2014Description: VIII, 169 p. 40 illus., 32 illus. in color. online resourceContent type: text Media type: computer Carrier type: online resourceISBN: 9783319011134Subject(s): engineering | Computer Science | electronics | Systems engineering | Engineering | Circuits and Systems | Processor Architectures | Electronics and Microelectronics, InstrumentationDDC classification: 621.3815 LOC classification: TK7888.4Online resources: Click here to access online
Contents:
Introduction -- Literature Survey -- Optimisation Framework -- Performance Estimation of Pipelined MPSoCs -- Design Space Exploration of Pipelined MPSoCs -- Adaptive Pipelined MPSoCs -- Power Management in Adaptive Pipelined MPSocs -- Multi-mode Pipelined MPSoCs -- Conclusions and Future Work.
In: Springer eBooksSummary: This book describes analytical models and estimation methods to enhance performance estimation of pipelined multiprocessor systems-on-chip (MPSoCs).  A framework is introduced for both design-time and run-time optimizations. For design space exploration, several algorithms are presented to minimize the area footprint of a pipelined MPSoC under a latency or a throughput constraint.  A novel adaptive pipelined MPSoC architecture is described, where idle processors are transitioned into low-power states at run-time to reduce energy consumption. Multi-mode pipelined MPSoCs are introduced, where multiple pipelined MPSoCs optimized separately are merged into a single pipelined MPSoC, enabling further reduction of the area footprint by sharing the processors and communication buffers. Readers will benefit from the authors’ combined use of analytical models, estimation methods and exploration algorithms and will be enabled to explore billions of design points in a few minutes.   ·         Describes the state-of-the-art on pipeline-level parallelism and multimedia MPSoCs; ·         Includes analytical models and estimation methods for performance estimation of pipelined MPSoCs; ·         Covers several design space exploration techniques for pipelined MPSoCs; ·         Introduces an adaptive pipelined MPSoC with run-time processor and power managers; ·         Introduces Multi-mode pipelined MPSoCs for multiple applications.    .
Tags from this library: No tags from this library for this title. Log in to add tags.
No physical items for this record

Introduction -- Literature Survey -- Optimisation Framework -- Performance Estimation of Pipelined MPSoCs -- Design Space Exploration of Pipelined MPSoCs -- Adaptive Pipelined MPSoCs -- Power Management in Adaptive Pipelined MPSocs -- Multi-mode Pipelined MPSoCs -- Conclusions and Future Work.

This book describes analytical models and estimation methods to enhance performance estimation of pipelined multiprocessor systems-on-chip (MPSoCs).  A framework is introduced for both design-time and run-time optimizations. For design space exploration, several algorithms are presented to minimize the area footprint of a pipelined MPSoC under a latency or a throughput constraint.  A novel adaptive pipelined MPSoC architecture is described, where idle processors are transitioned into low-power states at run-time to reduce energy consumption. Multi-mode pipelined MPSoCs are introduced, where multiple pipelined MPSoCs optimized separately are merged into a single pipelined MPSoC, enabling further reduction of the area footprint by sharing the processors and communication buffers. Readers will benefit from the authors’ combined use of analytical models, estimation methods and exploration algorithms and will be enabled to explore billions of design points in a few minutes.   ·         Describes the state-of-the-art on pipeline-level parallelism and multimedia MPSoCs; ·         Includes analytical models and estimation methods for performance estimation of pipelined MPSoCs; ·         Covers several design space exploration techniques for pipelined MPSoCs; ·         Introduces an adaptive pipelined MPSoC with run-time processor and power managers; ·         Introduces Multi-mode pipelined MPSoCs for multiple applications.    .

There are no comments on this title.

to post a comment.
Share