Scientific Library of Tomsk State University

   E-catalog        

Normal view MARC view

Poly-SiGe for MEMS-above-CMOS Sensors electronic resource by Pilar Gonzalez Ruiz, Kristin De Meyer, Ann Witvrouw.

By: Gonzalez Ruiz, Pilar [author.]Contributor(s): De Meyer, Kristin [author.] | Witvrouw, Ann [author.] | SpringerLink (Online service)Material type: TextTextSeries: Springer Series in Advanced MicroelectronicsPublication details: Dordrecht : Springer Netherlands : Imprint: Springer, 2014Description: XVI, 199 p. 144 illus. online resourceContent type: text Media type: computer Carrier type: online resourceISBN: 9789400767997Subject(s): physics | engineering | Systems engineering | Optical materials | Surfaces (Physics) | Physics | Electronic Circuits and Devices | Circuits and Systems | Optical and Electronic Materials | Nanotechnology and Microengineering | Characterization and Evaluation of MaterialsDDC classification: 621.3815 LOC classification: TK7867-7867.5Online resources: Click here to access online
Contents:
Acknowledgements -- Abstract -- Symbols and Abbreviations -- Introduction -- Poly-SiGe As Piezoresistive Material -- Design of a Poly-SiGe Piezoresistive Pressure Sensor -- The Pressure Sensor Fabrication Process -- Sealing of Surface Micromachined Poly-SiGe Cavities -- Characterization of Poly-SiGe pressure sensors -- CMOS Integrated Poly-SiGe Piezoresistive Pressure Sensor -- Conclusions And Future Work -- Appendix A -- Appendix B -- Appendix C -- Appendix D.
In: Springer eBooksSummary: Polycrystalline SiGe has emerged as a promising MEMS (Microelectromechanical Systems) structural material since it provides the desired mechanical properties at lower temperatures compared to poly-Si, allowing the direct post-processing on top of CMOS. This CMOS-MEMS monolithic integration can lead to more compact MEMS with improved performance. The potential of poly-SiGe for MEMS above-aluminum-backend CMOS integration has already been demonstrated. However, aggressive interconnect scaling has led to the replacement of the traditional aluminum metallization by copper (Cu) metallization, due to its lower resistivity and improved reliability. Poly-SiGe for MEMS-above-CMOS sensors demonstrates the compatibility of poly-SiGe with post-processing above the advanced CMOS technology nodes through the successful fabrication of an integrated poly-SiGe piezoresistive pressure sensor, directly fabricated above 0.13 m Cu-backend CMOS. Furthermore, this book presents the first detailed investigation on the influence of deposition conditions, germanium content and doping concentration on the electrical and piezoresistive properties of boron-doped poly-SiGe. The development of a CMOS-compatible process flow, with special attention to the sealing method, is also described. Piezoresistive pressure sensors with different areas and piezoresistor designs were fabricated and tested. Together with the piezoresistive pressure sensors, also functional capacitive pressure sensors were successfully fabricated on the same wafer, proving the versatility of poly-SiGe for MEMS sensor applications. Finally, a detailed analysis of the MEMS processing impact on the underlying CMOS circuit is also presented.
Tags from this library: No tags from this library for this title. Log in to add tags.
No physical items for this record

Acknowledgements -- Abstract -- Symbols and Abbreviations -- Introduction -- Poly-SiGe As Piezoresistive Material -- Design of a Poly-SiGe Piezoresistive Pressure Sensor -- The Pressure Sensor Fabrication Process -- Sealing of Surface Micromachined Poly-SiGe Cavities -- Characterization of Poly-SiGe pressure sensors -- CMOS Integrated Poly-SiGe Piezoresistive Pressure Sensor -- Conclusions And Future Work -- Appendix A -- Appendix B -- Appendix C -- Appendix D.

Polycrystalline SiGe has emerged as a promising MEMS (Microelectromechanical Systems) structural material since it provides the desired mechanical properties at lower temperatures compared to poly-Si, allowing the direct post-processing on top of CMOS. This CMOS-MEMS monolithic integration can lead to more compact MEMS with improved performance. The potential of poly-SiGe for MEMS above-aluminum-backend CMOS integration has already been demonstrated. However, aggressive interconnect scaling has led to the replacement of the traditional aluminum metallization by copper (Cu) metallization, due to its lower resistivity and improved reliability. Poly-SiGe for MEMS-above-CMOS sensors demonstrates the compatibility of poly-SiGe with post-processing above the advanced CMOS technology nodes through the successful fabrication of an integrated poly-SiGe piezoresistive pressure sensor, directly fabricated above 0.13 m Cu-backend CMOS. Furthermore, this book presents the first detailed investigation on the influence of deposition conditions, germanium content and doping concentration on the electrical and piezoresistive properties of boron-doped poly-SiGe. The development of a CMOS-compatible process flow, with special attention to the sealing method, is also described. Piezoresistive pressure sensors with different areas and piezoresistor designs were fabricated and tested. Together with the piezoresistive pressure sensors, also functional capacitive pressure sensors were successfully fabricated on the same wafer, proving the versatility of poly-SiGe for MEMS sensor applications. Finally, a detailed analysis of the MEMS processing impact on the underlying CMOS circuit is also presented.

There are no comments on this title.

to post a comment.
Share